Informação

FPGA

Grupo dedicado à discussão de projetos com uso de FPGA.

Membros: 56
Última atividade: 26 Maio, 2021

Fórum de discussão

Cursos sobre FPGA

Iniciado por Andrei Alexander Nunes de Souza 25 Mar, 2014. 0 Respostas

Sou aluno de Iniciação Científica na área de pesquisa e desenvolvimento de projetos em FPGA e meu primeiro trabalho será desenvolver o máximo possível de material didático sobre FPGA para…Continuar

Tags: FPGA

SystemC versus SystemVerilog

Iniciado por Alberto Fabiano. Última resposta de Wagner Marini de Oliveira 17 Mar, 2011. 3 Respostas

Folks,     O que vocês preferem e porque? [~]s   Continuar

Caixa de Recados

Comentar

Você precisa ser um membro de FPGA para adicionar comentários!

Comentário de Vinicius Lisboa em 28 julho 2014 às 23:05

Boa noite, pessoal. Comprei esta placa e o cabo pelo mercado livre. Mas não tenho o esquema dos pinos. Alguem conhece? 

Obrigado pela atenção.

Comentário de Henrique Dória em 18 março 2013 às 13:42

Pra quem não conhece muito sobre FPGA, eu fiz uma pesquisa (pois tbm não conhecia) e criei esse post pro meu blog: http://control-aut.com/2013/03/17/conhecendo-o-fpga/

Estou maravilhado com essa ferramenta, e pretendo comprar um em breve. Citei alguns sites que vendem no posts, mas estou a procura de outros vendedores também, com outros modelos.

Obrigado!

Comentário de Leonardo Ramos de Oliveira em 15 agosto 2011 às 17:45
Uma das melhores estruturas que estudei na faculdade. Pena que tive pouco contato com FPGA's. Espero poder encontrar atualizações neste grupo.
att,
Comentário de Marcel Danilo Alves Siqueira em 12 março 2011 às 12:02
Por cima, pelo que sei, vc pode usar o Quartus II para a descrever circuitos e o ModelSim para simulação; Ambos da Altera  e com documentação e tutoriais sempre bem atualizados. (Y)
Comentário de kalil kelvin silva de souza em 12 março 2011 às 11:37

alguem indica um software para teste com FPGA, uso o sistema operacional linux e meu intuito de inicio é apenas estudar, fazer simulações.

 

agradeço!

Comentário de Luis Filipe Rossi em 17 fevereiro 2011 às 12:51

E para complementar.. o famoso OpenCores:

 

LINK

 

Você acha diversos projetos nesse OpenCores... desde Microcontroladores implementados no FPGA até controladores de VGA entre outros.

 

Abs

Comentário de Wagner Marini de Oliveira em 17 fevereiro 2011 às 12:49

hahahaha!

Então segue ai outro site cheio de tutoriais. Vai ter o que fazer além do fim de semana. http://www.fpga4fun.com/

 

Comentário de Enio Benatti em 17 fevereiro 2011 às 12:34

Acabei de Instalar o Quartus II web edition da Altera.

Levou a noite inteira para fazer o download de 3GB .

O tutorial Impressiona , com instrutor , com audio e tudo mais.

Já sei o que vou fazer neste fim de semana he he he.... a dona de casa não vai gostar.

Comentário de Wagner Marini de Oliveira em 17 fevereiro 2011 às 10:13

Olá pessoal, o fórum esquentou bastante com os ultimos post. Legal!!

Interessante este kit do eBay (Nios Dev Board). Eu adquiri uma placa Pluto-II da KNJN que vem com um Cyclone (EP1c3) ou Cyclone II (EP2C5). É uma placa com muito menos recursos daqueles que eu vi na Nios mas tem a vantagem de ser barata. O site é bem tranquilo de comprar. Já comprei duas peças e veio rapidinho. Só não considerem a Pluto-I (EP1K10) pois vem com um CPLD ao inves de um FPGA.

Quanto a discussão sobre o CPLD, é isso aí mesmo. Já vi alguns em um módulo de CPLD da Altera num multiplexer dentro de uma dessas provedoras de telefonia. Vale também observar que o Quartus II 10.0 não suporta mais CPLDs e teria que baixar a versão antiga 9.0.

 

abcs

Comentário de Luis Filipe Rossi em 17 fevereiro 2011 às 8:19

My 2 cents. Para começar eu também não indico o uso de CPLDs para começar. Eles tem uma arquitetura diferente de FPGAs e acabem tendo usos bem especificos no mercado. 

 

Eu trabalho tanto com Altera quanto com Xilinx. Eu comecei com Altera, comprando um kit no ebay. O kit que eu comprei foi esse: LINK

O vendedor é muito confiavel.. muito mesmo. Não chega nem perto de um DE2, porem ja vem com o USB Blaster (que funciona perfeitamente) e a placa do FPGA pode ser reaproveitada para varios projetos. 

 

Uma boa coisa de "programar" FPGAs utilizando linguages de HDL como Verilog e VHDL é a portabilidade dos Cores que você monta. Se você montar algo em um FPGA da Altera, em 20 mintos você porta para um FPGA equivalente da Xilinx.

 

Para kits da Xilinx os mais famoso são os da Digilent: LINK

 

Tem um custo beneficio muito bom. 

 

Outra empresa que tem umas placas bem interessantes  (principalemnte se você quser brincar com PCI) é a Enterpoint: LINK . Eu ja comprei por eles e são bem atenciosos. Se um dia você vier a participar de listas como o comp.arch.fpga, o John Adair participa constantemente e se não me engano ele é o CEO da Enterpoint. 

 

De qualquer forma, o mercado de FPGAs foi construido em cima do mercado de ASICs, e graças a isso a pratica de realizar diversas simulações antes de efeutar uma implementação foi herdado. Se você quiser começar, baixe a versão gratuita do Quartus II (que eu acho muito mais amigavel que o ISE), e siga os tutoriais fornecido pela Altera. São gratuitos e MUITO bons. Depois, quanto você não tiver uma placa você pode rodar algumas simulaçoes para ja ir treinando. 

 

Bom qualquer duvida pode perguntar.

 

Abs

 

 

 

 

 

Membros (56)

 
 
 

© 2024   Criado por Marcelo Rodrigues.   Ativado por

Badges  |  Relatar um incidente  |  Termos de serviço